ASML CTO: The first High-NA EUV lithography machine will be delivered to customers next year

ASML Chief Technology Officer Martin van den Brink said in an interview with Bits & Chips recently that the company is currently implementing its roadmap in an orderly manner. After EUV is High-NA EUV technology. ASML is preparing to deliver its first High-NA EUV lithography machine to customers, presumably sometime next year, and Martin believes this will be achieved, although supply chain issues may still disrupt the plan.

NA (NumericalAperture) is called numerical aperture, which is an important indicator of optical lenses. Generally, lithography equipment will clearly mark the value of this indicator. Under the condition that the wavelength of the light source is unchanged, the size of the NA directly determines the actual resolution of the lithography machine, which also determines the highest process node that the lithography machine can achieve. High-NA EUV is the next generation of lithography equipment that can engrave finer circuits than existing EUV lithography equipment and is considered a game-changing device that will determine the sub-3nm foundry market technology winner of the competition.


By far, Van den Brink says, the biggest challenge in developing high-NA technology has been building metrology tools for EUV optics. The High-NA mirror is twice the size of its predecessor and needs to be flat to within 20 picometers. This needs to be verified in a vacuum vessel big enough to "hold half a company", which is located at Zeiss.

High-NA EUV lithography machines consume more power than existing EUV lithography machines, increasing from 1.5 megawatts to 2 megawatts. The main reason is because of the light source, High-NA uses the same light source that requires an additional 0.5 MW, and ASML also uses water-cooled copper wire to power it.

As for the technical solution after High-NA EUV technology, Martin said that ASML is working on reducing the wavelength, but he personally does not think Hyper-NA is feasible, they are working on it, but that does not mean it will be put into production. Martin suspects that High-NA will be the last NA, and the current road to semiconductor lithography may have come to an end.

The High-NA EUV system will provide a 0.55 numerical aperture, with improved accuracy compared to previous EUV systems with 0.33 numerical aperture lenses, enabling higher resolution patterning for smaller transistor features. In the Hyper-NA system, it will be higher than 0.7, or even 0.75, which is theoretically possible. From a technical point of view, it can also be done. But if the cost of hyper-NA grows as fast as High-NA, it will be nearly infeasible economically. Therefore, the main goal of the Hyper-NA research project is to come up with clever solutions that keep the technology manageable in terms of cost and manufacturability.

Post a Comment

0 Comments